Студопедия.Орг Главная | Случайная страница | Контакты | Мы поможем в написании вашей работы!  
 

Порядок выполнения работы. 1. Студенту необходимо открыть инструкцию(datasheet), по выданной в 1 лабораторной работе микросхеме



1. Студенту необходимо открыть инструкцию(datasheet), по выданной в 1 лабораторной работе микросхеме, и на основании ее пострить блок-схему алгоритма относительно ее тактико-технических характеристик.

2. В программе Active-HDL создаем новое рабочее пространство(рис. 2.1).

Рисунок 2.1 – Новое рабочее пространство в Active-HDL

В поле Type the workspace name вводим имя рабочего пространства и жмем ОК (рис. 2.2).

Рисунок 2.2 – Имя рабочего пространства в Active-HDL

В окне New Design Wizard выбираем Create an empty design и жмем Next (рис. 2.3).

Рисунок 2.3 – Создание пустого проекта в Active-HDL

В выпадающем списке Default HDL Language выбираем язык VHDL ижмем Next (рис. 2.4).

Рисунок 2.4 – Выбор языка

Откроется окно Мастер Нового Проекта (New Design Wizard) - инструмент, который помогает в создании новых проектов и добавлении новых файлов в процессе проектирования. В поле Type the design name необходимо ввести название микросхемы и нажать Next (рис. 2.4).

Рисунок 2.5 – Название микросхемы в Active-HDL

В появившемся окне жмем Finish.

На панели design flow выбираем HDE (рис. 2.6).

Рисунок 2.6 – Расширенный маршрут проектирования

Выбираем шаблон языка VHDL и жмем ОК(рис. 2.7).

Рисунок 2.7 – Выбор языка

В окне New Source File Wizard оставляем галочку на Add the generated file to the design и жмем Next (рис. 2.8).

Рисунок 2.8 – Добавление генерируемого файла к проекту

Далее необходимо указать название создаваемого файла и нажать Next.

В появившемся окне New Source File Wizard – Ports необходимо указать входы и выходы микросхемы. Для этого нужно нажать на кнопку New, ввести имя порта в поле Name и указать в меню Port Direction тип порта (in- вход, out- выход, inout -двунаправленный порт ).

В зависимости от количества одновременно входящих и выходящих портов, в разделе Array Indexes указывается их количество(отсчет начинается с 0).

Нажмаем кнопку Finish для создания скелетного файла(рис. 2.9).

Рисунок 2.9 – Ввод данных об интерфейсный портах проекта

В результате создан файл с расширением .vhd.

Проанализировав созданную блок-схему алгоритма, нужно написать код работы микросхемы. Затем вставить его в сгенерированный код с расширением .vhd вместо -- enter your statements here – и скомпилировать файл, нажав Compile All.

Далее включаем симуляцию программы. Для этого необходимо зайти в меню Simulation и выбрать Initialize Simulation.

На панели инструментов находим кнопку New Waveform, необходимо добавить все входы.

На рабочей области кликаем правой кнопкой мыши и из контекстного меню выбираем пункт Simulators, добавляем порты микросхемы.

Рисунок 2.10 – Временная диаграмма

Для того, чтобы проверить правильность работы микросхемы целесообразно создать испытательный стенд(TestBench).

Для этого необходимо:

1. На временной диаграмме удалить выходные порты.

2. На файле сценария(файл с расширением .do) нажимаем правой кнопкой мыши выбираем Generate TestBench.

Рисунок

3. В окне TestBench Generator Wizard выбираем Single Process.

4. Ставим галочку на Test vectors from file. В поле Select a test vector file необходимо указать путь к созданной Waveform c помощью кнопки Browse. Затем добавляем все входящие порты микросхемы и жмем Next.

5. Указываем имя для тестового файла жмем Next, а затем Finish (рис. 2.11).

Рисунок 2.11 – Создание папки TestBench

6. После этого в окне просмотра проекта(Design Browser) появится папка TestBench.

7. В окне просмотра проекта Design Browser кликнем правой кнопкой мыши на макрофайле с расширением.do и выполним команду Execute. Система Active-HDLв автоматическом режиме выполнит все команды, записанные в указанном файле. В результате будет получене результаты моделирования, близкие к тем, что были получены до создания TestBench.

Контрольные вопросы

1. Что такое Active-HDL?

2. Основные компоненты программной среды Active-HDL?

3. Что вы знаете о языке VHDL?

4. В каких файлах описаны конфигурация проекта и его состояние?

5. Для чего используется Waveform Viewer?

6. Что такое TestBench? Для чего он используется?





Дата публикования: 2015-04-06; Прочитано: 224 | Нарушение авторского права страницы | Мы поможем в написании вашей работы!



studopedia.org - Студопедия.Орг - 2014-2024 год. Студопедия не является автором материалов, которые размещены. Но предоставляет возможность бесплатного использования (0.008 с)...