Студопедия.Орг Главная | Случайная страница | Контакты | Мы поможем в написании вашей работы!  
 

Компоненты Active-VHDL



Краткая теория

Active-HDL — среда разработки, моделирования и верификации проектов для программируемых логических интегральных схем, разработанная фирмой Aldec.

Программа позволяет описывать устройства с помощью языков программирования аппаратуры, а также с помощью структурных схем. Изначально программа поддерживала только язык VHDL, но со временем добавилась поддержка языков Verilog и SystemC. С помощью программы можно графически проектировать конечные автоматы, а также конвертировать HDL описание в графические структурные схемы и обратно.

Компоненты Active-VHDL

Все компоненты Active–VHDL объединены в единообразную графическую среду, являющуюся основным каркасом. Каркас обеспечивает рабочую область окна и связывающую среду для всех элементов системы. Кроме ядра моделирования, каждый Active - VHDL инструмент выполнен в отдельном окне. Далее следует - краткое описание компонентов Active-VHDL:

Таблица 1- Компоненты Active-VHDL





Дата публикования: 2015-04-06; Прочитано: 282 | Нарушение авторского права страницы | Мы поможем в написании вашей работы!



studopedia.org - Студопедия.Орг - 2014-2024 год. Студопедия не является автором материалов, которые размещены. Но предоставляет возможность бесплатного использования (0.009 с)...