Студопедия.Орг Главная | Случайная страница | Контакты | Мы поможем в написании вашей работы!  
 

Обобщенная схема ЦВМ



Определение электронной вычислительной машины (с учетом программного принципа управления) предполагает, что ЭВМ строится по следующей схеме (рис. 1.2).

Определение конечного автомата.

Конечный автомат – дискретный автомат, в котором переход из одного состояния в любое другое может быть совершено за конечное число шагов (таким автоматом, например, является процессор).

Схему конечного автомата удобно представлять в виде комбинационной схемы, реализующей характеристические функции перехода dи выхода l, и памяти, сохраняющей на один такт предыдущее состояние автомата:

Здесь aÎA, bÎB, qÎQ – символы алфавитов в соответствующем временном такте t=1,2,3,…

Автомат Мили.

Автомат Мили (англ. Mealy machine) — конечный автомат, выходная последовательность которого (в отличие от автомата Мура) зависит от состояния автомата и входных сигналов. Это означает, что в графе состояний каждому ребру соответствует некоторое значение (выходной символ). В в вершины графа автомата Мили записываются выходящие сигналы,а дугам графа приписывают условие перехода из одного состояния в другое,а так же входящие сигналы. Кодировка автомата Мили: Вершина (операторная или логическая),стоящая после вершины "Начало",а так же вход вершины "Конец" помечается символом S1,вершины,стоящие после операторных помечаются символом Sn (n=2,3..).





Дата публикования: 2015-02-03; Прочитано: 248 | Нарушение авторского права страницы | Мы поможем в написании вашей работы!



studopedia.org - Студопедия.Орг - 2014-2024 год. Студопедия не является автором материалов, которые размещены. Но предоставляет возможность бесплатного использования (0.006 с)...