Студопедия.Орг Главная | Случайная страница | Контакты | Мы поможем в написании вашей работы!  
 

Значительно упростить процесс создания проектов цифровых устройств (имеющих большую разрядность) с систолической структурой можно за счет применения оператора generate



1) Справочно-правовая система «Гарант»;

2) Справочно-правовая система «Консультант-Плюс»;

3) Федеральный правовой портал «Юридическая Россия»: http://law.edu.ru;

4) Сайт Верховного Суда Российской Федерации: http://www.vsrf.ru.

Лекция № 7 (5-тый курс)

Тема: Структурная методология описания проектов цифровых систем (устройств) с регулярной структурой посредством оператора generate.

О задании глобальных параметров проекта из внешней среды (оператор generic())

(Структурная методология описания проектов систолических цифровых систем (устройств) посредством оператора generate)

Вопросы по теме:

  1. Вводные замечания

2. Оператор generate порождающей схемы for

3. Оператор generate порождающей схемы if

4. О задании глобальных настроечных параметров для проекта из внешней среды (оператор generic())

Систолическими цифровыми устройствами в цифровой схемотехнике принято называть такие устройства, которые содержат в своей структуре (архитектуре) множество однотипных (абсолютно одинаковых) по своему устройству элементов (компонентов). Примерами цифровых устройств с регулярной структурой являются N-разрядный двоичный счетчик, N-разрядный сумматор. Так N-разрядный двоичный счетчик содержит в своей структуре множество однотипных триггеров (триггеров типа D или триггеров типа JK). В свою очередь, N-разрядный сумматор содержит в своей структуре множество полных однотипных одноразрядных сумматоров. Заметим, что в систолических цифровых устройствах схема соединений компонентов часто также является регулярной.

Естественно, что для проектирования цифровых устройств такого вида посредством языка VHDL целесообразно использовать структурную (компонентную) методологию описания их проектов. Выгода использования этой методологии объясняется тем, что один раз создав субпроект описания компонента (триггера, одноразрядного сумматора) она позволяет его многократное использование (существующего описания компонента).

Проекты систолических цифровых устройств, естественно, можно создавать на основе структурной классической методологии, которая предусматривает использование в проекте семейства операторов внедрения компонента. При этом количество содержащихся проекте операторов внедрения компонент должно соответствовать разрядности проектируемого устройства.

Значительно упростить процесс создания проектов цифровых устройств (имеющих большую разрядность) с систолической структурой можно за счет применения оператора generate.





Дата публикования: 2014-11-18; Прочитано: 211 | Нарушение авторского права страницы | Мы поможем в написании вашей работы!



studopedia.org - Студопедия.Орг - 2014-2024 год. Студопедия не является автором материалов, которые размещены. Но предоставляет возможность бесплатного использования (0.006 с)...