Студопедия.Орг Главная | Случайная страница | Контакты | Мы поможем в написании вашей работы!  
 

Принцип действия и параметры ЦАП



Цифроаналоговые преобразователи (ЦАП) обеспечивают преобразование числового значения управляющего кода, поступившего на вход преобразователя, в аналоговую величину (напряжение или ток). ЦАП можно рассматривать как декодирующее устройство (рисунок 17.1), на входы которого поступают цифровой (кодовый) сигнал Х{х1, х2,..., ХN} и эталоное (опорное) напряжение Uоп, а на выходе формируется аналоговый сигнал А, связанный с входным сигналом соотношением

,

где

Рисунок 17.1 – ЦАП как декодирующее устройство

Для преобразования двоичного кода в аналоговый сигнал обычно формируют токи, пропорциональные весам разрядов кода, и потом суммируются те из токов, которые отвечают ненулевым (единичным) разрядам входного кода.





Дата публикования: 2014-11-26; Прочитано: 263 | Нарушение авторского права страницы | Мы поможем в написании вашей работы!



studopedia.org - Студопедия.Орг - 2014-2024 год. Студопедия не является автором материалов, которые размещены. Но предоставляет возможность бесплатного использования (0.007 с)...