Студопедия.Орг Главная | Случайная страница | Контакты | Мы поможем в написании вашей работы!  
 

Алгоритм работы. Рис 1 – создание в реестре «Контрагенты» 3 записи



Рис 1 – создание в реестре «Контрагенты» 3 записи.

Рис.1

Полное заполнение карточки контрагента. Рис.2

Рис.2

Создание счёта. Рис.3 и 4 “Создать – Продажи - Счёт”. Либо на вкладке «Платёжные реквизиты» нажать «Добавить» и заполнить поля.

Рис.3

Рис.4

Во вкладке «Контакты» возможно создание контакта для отдельного предприятия. При создании контакта указывается контрагент.

Заполнение сведений о продукте происходит во вкладке «Продажи» «Продукты». Рис.5

Рис. 5

Для создания эксклюзивного контрагента нужно при создании контрагента поставить флажок напротив соответствующего поля. Рис. 2

Разделить контрагентов на потенциальных и действующих можно также при помощи установки флажка на соответствующих полях при создании нового контрагента. Рис.2

Добавление контактов Рис. 6

Рис.6

Для добавления знаменательного события и дополнительного места работы нужно добавить информацию в соответствующих вкладках «Знаменательные события» и «Карьера».

Добавление 3 продуктов и создание полей с оптовой и розничной ценой. Рис. 7. В этой же вкладке следует определять контрагентов и, в зависимости от взаимоотношения, выставлять ценовой диапазон для того или другого контрагента.

Рис.7

Для указания продуктов-аналогов нужно во вкладке «Аналоги» добавить продукт, составляющий такой же ассортимент. Например для Тройника ¾ аналогом будет выступать кран шаровой ½.

Маркетинговое воздействие: необходимо определить название мероприятия (воздействия), основную цель и т.д. Рис.8

Рис.8

Выбор целевой аудитории Рис.9

Рис.9

Для распределения заданий между ответственными за проведение мероприятий нужно при создании воздействия указать в поле «ответственный» ФИО сотрудника. Рис.8

Формирование договора. Рис. 10

Рис.10

Для формирование анкеты нужно создать наименование опроса, затем сформировать несколько результирующих вопросов по поводу целесообразности проведения опроса. Рис. 11

Рис.11

Файл – Проекты – Стадии проекта. В открывшимся окне заполняем стадии предполагаемого проекта. Рис.12

Рис.12

Создание работ в MS Project. Рис. 13

Рис.13

После создания работ в MS Project, работы нужно экспортировать в Terrasoft. Для этого нужно в скриптах Terrasoft Administrator получить ActiveX-объект MS Project'а, а через него - доступ к объектам, которые необходимо перенести в Terrasoft. Получить объект MS Project можно так:

var MSProject = new ActiveXObject("MSProject.Application");

Далее нужно открыть файл проекта:

MSProject.FileOpen('C: \\ Project.mpp');

Теперь через свойство MSProject.ActiveProject доступен проект, который был сохранён в файле Project.mpp на диске C:\. Его задачи можно получить через MSProject.ActiveProject.Tasks, ресурсы, соответственно, через MSProject.ActiveProject.Resources.

Формирование задачи «Подготовка проекта» и выставление напоминания. Рис.14

Рис.14

В рамках учёта стоит отразить движение продукции и денежных средств. Рис. 15

Рис.15

Формирование инцидента и привязка его к контакту. Рис. 16

Рис.16

Определение решения жалоб. Рис.17

Рис.17

Для закрытия инцидента необходимо добавить решение во вкладку «Связанные решения», находящиеся внизу на панели Рис.7.

Рис.18

Рис.19

Рис.20

Таблица истинности

Состояние микросхемы К155ЛА1(7420)
Вход Выход
1 (9) 2 (10) 4 (12) 5 (13) 6 (8)
В В В В Н
Н х х х В
х Н х х В
х х Н х В
х х х Н В

В таблице 1.2 приведены электрические параметры

Таблица 1.2 – Электрические параметры

  Номинальное напряжение питания + 5%
  Выходное напряжение низкого уровня ≤ 0,4 В
  Выходное напряжение высокого уровня ≥ 2,4 В
  Напряжение на антизвонном диоде ≥ -1,5 В
  Помехоустойчивость ≤ 0,4 В
  Входной ток низкого уровня ≤ -1,6 мА
  Входной ток высокого уровня ≤ 0,04 мА
  Входной пробивной ток ≤ 1 мА
  Ток короткого замыкания -18...-55 мА
  Ток потребления при низком уровне выходного напряжения ≤ 11 мА
  Ток потребления при высоком уровне выходного напряжения ≤ 4 мА
  Потребляемая статическая мощность на один логический элемент ≤ 19,7 мВт
  Выходной ток низкого уровня ≤ 16 мА
  Время задержки распространения при включении ≤ 15 нс
  Время задержки распространения при выключении ≤ 22 нс

Зарубежные аналоги: SN7420

Вывод

В результате выполнения задания получены навыки по работе со справочной литературой. Обработано несколько источников информации. Получены сведения по электрическим характеристикам для цифровых компонентов, составлены таблицы истинности.


2 Результат выполнения задания №2 «Генераторы и схемы

задержки»

Задание:

Рассчитать генератор на частоту 32 КГц, построенного по принципу, как показано на рисунке 2.1.

– определить полный период сигнала;

– определить номиналы конденсатора и резистора.

На рисунке 2.1 представлена электрическая схема генератора.

Рисунок 2.1 – Схема RC генератора

В таблице 2.2 приведены расчетные параметры генератора.

Таблица 2.2 – Расчетные параметры генератора

R1,(КОм) C1 Т,нс F, КГц
  35(pF) 60,264  
  350(pF) 47,081  
  35(nF) 47,081  
  350(nF) 45,198  
  35(mF) 1,902  
  350(mF) 3,710  

На рисунке 2.2 представлены схемы формирования задержек.


Рисунок 2.2 – Схемы формирования задержек

В таблице 2.3 приведены расчетные параметры цепи задержки.

Таблица 2.3 – Расчетные параметры цепи задержки

tЗ, нс Количество лог. Элементов, n
82,863  
60,264  
45,198  
30,132  

 
 


Вывод

Согласно заданию требуется рассчитать показатели генератор на частоту 32 КГц,определить полный период сигнала, определить номиналы конденсатора и резистора.

В результате выполнения задания проведен синтез компонентов как показано на рисунке 2.1, проведена отладка и проверка работоспособности схемы.

Измерены параметры генератора и параметры цепи задержки. В ходе выполнения работы сделан вывод, что увеличение номиналов конденсатора и резистора, делает работу генератора некорректной или недопустимой.


3 Результат выполнения задания №3 «Восьмиразрядное АЛУ»

Задание:

– построить схему 8 разрядного АЛУ;

– операция: сложение и вычитание;

– применяются 2 регистра;

– шина данных общая разделяемая;

– результат операции заносится в первый регистр;

– результат с первого регистра возвращается в шину данных;

– управление шины данных осуществить с применением буферных формирователей;

В приложении А (ОП 230113.13.01.23 Э3) представлена электрическая схема 8-ми разрядного АЛУ.

Вывод

Согласно заданию требуется построить схему 8 разрядного АЛУ, в котором будет выполняться операция сложения и вычитания.

В результате выполнения задания проведена разработка схемы 8 разрядного АЛУ, описаны цифровые компоненты схемы с использованием справочников; проанализирована операция сложения и вычитания.


4 Результат выполнения задания №4 «Проектирование цифровых устройств в системе Quartus II»

Задание:

Ознакомление с устройством, характеристиками отладочной платы mini- DiLaB (Cyclone 3).

На рисунке 4.1 представлен внешний вид отладочной платы mini- DiLaB.

Рисунок 4.1 – Внешний вид mini- DiLaB (Cyclone 3)

Как видно из рисунка 4.1 по функциональному набору периферийных компонентов, данный стенд уступает DiLab II, за кажущейся простотой скрывается достаточно, мощное устройство, не обременяющее разработчика излишней обвязкой периферийных устройств. Данный стенд позволяет сосредоточится на решении конкретных задач. Необходимый набор устройств и компонентов подключается посредством портов расширения.

На рисунке 4.2 представлена структурная схема устройства.

Рисунок 4.2– Структурная схема стенда

В состав комплекса входят:

– СБИС Программируемой Логики (Cyclone III);

– конфигурационная память СБИС Cyclone III;

– переключатели;

– кнопки ввода данных;

– светодиоды (8 доступных программисту);

– микросхема статической памяти (объемом 256k*16 бит);

– кварцевый генератор;

– USB интерфейс, включающий:

1) разъем miniB;

2) микросхему FTDI - FT245R ;

– JTAG интерфейс, включающий:

1) микросхему MAX3064;

2) набор джамперов для выбора режима работы;

3) разъем JTAG;

– разъемы расширения;

– модуль питания платы.

Центральным элементом стенда является микросхема EP3C5E144C8N. Данная ПЛИС имеет следующие характеристики:

Число выводов СБИС – 144;

- число пользовательских выводов (входов/выходов) – 94;

- Число логических элементов – 5136;

- Объем встроенной памяти – 414 кбит;

- Число встроенных умножителей (разрядностью 9*9) – 46;

- Число встроенных умножителей тактовых сигналов (PLL) – 2;

- Объем файла конфигурации (без сжатия) – 3 Мбит.

Примечание: на плате присутствует кнопка RESET, позволяющая сбросить текущую конфигурацию СБИС.

Плата miniDiLaB-CIII содержит 8 переключателей. В положении «1» переключатель коммутирует логическую единицу, а в положении «0» - логический нуль. Верхнее положение – лог. «1», в нижнем - «0».

На рисунке 4.3 представлены переключатели платы miniDiLaB-CIII.

Рисунок 4.3– Переключатели платы miniDiLaB-CIII

Плата miniDiLaB-CIII содержит 2 кнопки: при нажатой кнопке передается логический «0», при отжатой – логическая «1».


На рисунке 4.4 представлены кнопки платы miniDiLaB-CIII.

.

Рисунок 4.4– Кнопки платы miniDiLaB-CIII

Плата miniDiLaB-CIII содержит 8 светодиодов.

На рисунке 4.5 представлены светодиоды для индикации платы miniDiLaB-CIII.

Рисунок 4.5– 8 светодиодов для индикации

 
 

5 Результат выполнения задания №5 «Проектирование цифровых

устройств в системе Quartus II. Бегущий огонь»

Цель: самостоятельно пройти процесс проектирования от постановки задачи, до реализации работающего устройства.

Задача: спроектировать цифровое устройство выполняющее, поочередное включение/выключение светодиодов.

Дать ответ на вопросы:

1. Назначение выводов Carry –out, Set, Load у счетчиков

2. Как изменить код описания счетчика-дешифратора, чтобы «бегущий огонь» начинался с led 7 и шел до led 0 (ответ в виде части кода в отчете)

Алгоритм работы

- деление на счетчике частоты 25Mhz на 2**23;

- поочередное включение (активный уровень – логический нуль)/выключение светодиодов при помощи счетчика –дешифратора (0-7).

В САПР Quartus II создать новый проект.

В таблице 5.1 приведены параметры мастера

Таблица 5.1 – Параметры мастера

Параметр мастера Наименоваение
What is the working directory for this project? Рабочая папка (с помощью браузера найти рабочую папку проекта) \ begushiy
What is the name of this project? Имя проекта begushiy
What is the name of the top-level design entity for this project? Имя модуля верхнего уровня в иерархии проекта. begushiy

В окне Family & Device Setting выбрать:

- в разделе Family задать Cyclone III;

- тип корпуса в графе Package задать – TQFP;

- в графе Pin count задать - 144,

- в разделе Available devices выбрать СБИС EP3C5E144C8.


Во вновь созданном проекте, именуемом «begushiy» необходимо создать новый файл «File –New – Block Diagram/Schematic File».

Сохранить файл под тем же именем что и проект.

Вызвать мастер Mega Wizard Plug-in Manager, через меню Tools – Mega Wizard Plug-in Manager.

В окне 1 выбрать «Create a new custom megafunction variatrion»

В окне 2 перейти в раздел Arithmetic, выбрать пункт LPM_COUNTER, задать имя выходного файла, count, в качестве языка описания выбрать VHDL, нажать кнопку Next

Проектируется суммирующий счетчик с максимальным количеством перебора 33 554 432.

Параметры счетчика:

- ширина шины q = 25 бит;

- счетчик суммирующий «up only»

По окончании нажать Next

На рисунке 5.1 представлено окно с параметрами, которые нужно задать.

Рисунке 5.1– Окно с параметрами


На рисунке 5.2 представлено окно параметров счета, входов управления счетом, флагов переноса .

Рисунок 5.2– Окно параметров счета

В появившемся окне «Optional Inputs» осуществляется назначение синхронных/ асинхронных входов загрузки, сброса, установки в максимальное значение. Все эти опции оставить пустыми. Нажать Next дважды.

Нажать Finish. В рабочей библиотеке появился компонент count.

На рисунке 5.3 представлен синтезированный компонент.

Рисунок 5.3– Синтезированный компонент


Далее необходимо создать второй счетчик со встроенным дешифратором от 0 до 7, к которому будут подключены светодиоды [led 0-7]. Данный элемент следует описать на языке VHDL, для этого необходимо в меню File-New выбрать VHDL File. В открывшемся окне набрать следующий код, реализующий функцию дешифратора согласно заданию. Имя модуля - led.vhd.

В листинге 1 представлен описываемый компонент.

Листинг 1- led.vhd

LIBRARY.IEEE;\\

use IEEE.std_logic_1164.all;\\

use IEEE.std_logic_unsigned.all;\\

use IEEE.numeric_std.ALL;\\

entity led is port\\

(clk: in std_logic;\\

led: out std_logic_vector(7 downto 0));\\

end led;\\

architecture led_arch of led is\\

signal cnt: integer range 0 to 7:=0;\\

begin\\

process (clk)\\

begin\\

if rising_edge(clk) then\\

if cnt=7 then\\

cnt<=0;\\

else\\

cnt<=cnt+1;\\

end if;\\

end if;\\

end process;\\

led(0)<= '1' when cnt=0 else '0';\\

led(1)<= '1' when cnt=1 else '0'; \\

led(2)<= '1' when cnt=2 else '0';\\

led(3)<= '1' when cnt=3 else '0';\\

led(4)<= '1' when cnt=4 else '0';\\

led(5)<= '1' when cnt=5 else '0';\\

led(6)<= '1' when cnt=6 else '0';\\

led(7)<= '1' when cnt=7 else '0';\\

end led_arch;\\


Выполнить команд меню File- Create/Update – Create Symbol Files for Current File. В библиотеке появится новый компонент с именем led.

На рисунке 5.4 представлен синтезированный элемент.

Рисунок 5.4– Синтезированный элемент- led

На рисунке 5.5 представлена синтезированная схем, реализуемая при помощи схемного проектирования.

 
 


Рисунок 5.5– Синтезированная схема


Далее необходимо осуществить проверку синтаксиса схемы. Для этого в меню Processing выполнить команду Start=>Start Analysis & Elaboration.

Перейти в инструмент Assignments - Pin Planner и выполнить назначение выводов на СБИС (ПЛИС) выводам схемы, как показано на рисунке 5.6.

Рисунок 5.6– Назначение выводов на СБИС

С помощью команды Processing => Start Compilation осуществить полную компиляцию проекта.

Конфигурирование платы:

- На плате miniDiLaB-CIII установить джамперы следующим образом (рисунок 5.7):

a. Соединить выводы разъема “TYPE”

b. Соединить выводы 1-2 разъема “MODE”

Рисунок 5.7– Установка джамперов

Подсоединить, входящий в комплект поставки USB кабель A-miniB к USB 2.0 порту компьютера (должен обеспечивать ток до 500мА), а затем к плате miniDiLaB-CIII.


Выполнить команду Tools=> Programmer или кликнуть по значку главного меню (рисунок 5.8)

Рисунок 5.8– Главное меню

Откроется окно управления конфигурированием СБИС.

Для установки интегрированного на плату miniDiLaB-CIII средства конфигурирования СБИС нажать кнопку Hardware Setup, откроется окно настроек в нем выбрать USB- Blaster.

Светодиод led[7..0], для которого разрешена работа (счетчик содержит значение данного светодиода) будет светится и гаснуть, следующим загорится светодиод номер которого будет следующим.


5.2 Вывод

В результате выполнения задания спроектировано цифровое устройство, выполняющее поочередное включение /выключение светодиодов. Проведено описание работы элемента на языке VHDL, синтезирован компонент, как представлено на рисунке 5.4 на плате DiLaB – III (Cyclone III); проведена отладка и проверка работоспособности схемы.

В результате выполнения можно дать определение дешифратору как устройству, с несколькими входами и выходами, которое преобразует код, подаваемый на входы в сигнал на одном из выходов.

Назначение вывода Carry –out- выход сигнала переноса,

Назначение вывода Set-установка параметра,

Назначение вывода Load- загрузка данных.

Изменение кода описания счетчика-дешифратора, чтобы «бегущий огонь» начинался с led 7 и шел до led 0:

led(0)<= '1' when cnt=7 else '0';

led(1)<= '1' when cnt=6 else '0';

led(2)<= '1' when cnt=5 else '0';

led(3)<= '1' when cnt=4 else '0';

led(4)<= '1' when cnt=3 else '0';

led(5)<= '1' when cnt=2 else '0';

led(6)<= '1' when cnt=1 else '0';

led(7)<= '1' when cnt=0 else '0'





Дата публикования: 2014-11-19; Прочитано: 718 | Нарушение авторского права страницы | Мы поможем в написании вашей работы!



studopedia.org - Студопедия.Орг - 2014-2024 год. Студопедия не является автором материалов, которые размещены. Но предоставляет возможность бесплатного использования (0.035 с)...